Modulazione di fase con un fpga

Ci sono due schemi di modulazione radio che tutti dovrebbero sapere. La modulazione dell’ampiezza cambia l’ampiezza – o “volume”, se vuoi – di una frequenza del corriere e trasforma tutte le radio in canali di proprietà e gestito da una chiesa. La modulazione della frequenza cambia il passo di una frequenza del vettore ed è completamente gestita da Clear Channel. Gli operatori radioamatori hanno familiarità con decine di altri schemi di modulazione, ma non c’è quasi nessuno tocca. La modulazione di fase è strana e praticamente inaudita, ma ciò non implica non è possibile eseguirlo su un fpga. [NCKM] sta trasmettendo l’audio utilizzando la modulazione di fase su un FPGA (russo, ecco la Google Transatrix).

Questo hardware è solo una scheda ALTERA MAX10, con un singolo ingresso utilizzato per i dati seriali dell’audio da trasmettere e due uscite, ciascuna collegata a pochi bit di filo per un’antenna a quarti d’onda. No, non c’è filtro di uscita o nient’altro tranne che per alcuni bit di filo. È un esperimento, pehax.

Verilog per questo progetto riceve un segnale audio come dati seriali in mono, 22050 BPS, campioni non firmati a 8 bit. Questi campioni vengono immessi in un PLL dinamico con spostamento di fase nel FPGA. Spostamento delle fasi modifica anche la frequenza, quindi [NCKM] può ricevere questo segnale audio con il trasmettitore FM sul suo telefono.

Questa modulazione di fase è davvero se viene ricevuta da una radio FM? Eh, forse. PM e FM sono strettamente correlati, ma certamente distinguibili come schemi di modulazione a proprio diritto. Puoi ottenere il codice [NCKM] sopra i GIT, o controllare la demo video sottostante.

Leave a Reply

Your email address will not be published. Required fields are marked *